fusesoc

Package manager and build abstraction tool for FPGA/ASIC development

812
168
Python

FuseSoC

CI status
image

Introduction

FuseSoC is an award-winning package manager and a set of build tools for
HDL (Hardware Description Language) code.

Its main purpose is to increase reuse of IP (Intellectual Property)
cores and be an aid for creating, building and simulating SoC solutions.

FuseSoC makes it easier to

  • reuse existing cores
  • create compile-time or run-time configurations
  • run regression tests against multiple simulators
  • port designs to new targets
  • let other projects use your code
  • set up continuous integration

To learn more about FuseSoC head over to the
User Guide.

Getting started

Installing the latest release

FuseSoC works on Linux, Windows, and macOS. It is written in Python and can be
installed like any other Python package through “pip”. Please refer to the
full list of system requirements and installation instructions in the
Installation section in the User Guide.

Quick start

To check if FuseSoC is working, and to get an initial feeling for how FuseSoC
works, you can try to simulate a simple hardware design from our core libray.

First, create and enter an empty workspace

mkdir workspace
cd workspace

Install the FuseSoc base library into the workspace

fusesoc library add fusesoc-cores https://github.com/fusesoc/fusesoc-cores

Get a list of cores found in the workspace

fusesoc core list

If you have any of the supported simulators installed, you can try to
run a simulation on one of the cores as well. For example,
fusesoc run --target=sim i2c will run a regression test on the core
i2c with Icarus Verilog. If you want to try another simulator instead,
add e.g. --tool=modelsim or --tool=xcelium between run and i2c.

fusesoc --help will give you more information on commands and switches.

Did it work? Great! FuseSoC can be used to create FPGA images, perform
linting, manage your IP libraries or do formal verification as well.
Check out the online documentation
documentation to learn more about creating your own core files and using
existing ones. If it didn’t work, please get in touch (see below).

Next steps

A good way to get your first hands-on experience with FuseSoC is to
contribute to the LED to Believe
project. This project aims to used FuseSoC to blink a LED on every
available FPGA development board in existence. There are already around
40 different boards supported. If your board is already supported,
great, then you can run your first FuseSoC-based design. If it’s not
supported, great, you now have the chance to add it to the list of
supported boards. Either way, head over to LED to
Believe
to learn more and see how to
go from a blinking LED to running a RISC-V core on an FPGA.

Need help?

FuseSoC comes with extensive
online documentation.

For quick communication with the active developers, feel free to join us at the
FuseSoC chat.

If you have found an issue, or want to know more about currently known problems,
check out the
issue tracker on GitHub.

If you are looking for professional paid support, we are happy to
provide feature additions, bug fixes, user training, setting up core
libraries, migrating existing designs to FuseSoC and other things.
Please contact [email protected] for more information.

Contributing to FuseSoC

FuseSoC is developed by an active and friendly community, and you’re welcome to
join! You can read more about setting up a development environment in our
Developer’s Guide.

You can file bug reports and propose changes in the olofk/fusesoc repository on GitHub.

Further reading

License

FuseSoC is licensed under the permissive 2-clause BSD license, freely allowing
use, modification, and distribution of FuseSoC for all kinds of projects.
Please refer to the LICENSE file for details.